zk1312757.htm


UNITED STATES
SECURITIES AND EXCHANGE COMMISSION
Washington, DC 20549

FORM 6-K

REPORT OF FOREIGN PRIVATE ISSUER
PURSUANT TO RULE 13a-16 OR 15d-16 OF 
THE SECURITIES EXCHANGE ACT OF 1934
 
March 11, 2013
 
Commission File No.: 000-30688
 
NOVA MEASURING INSTRUMENTS LTD.
(Translation of registrant’s name into English)

Building 22 Weizmann Science Park, Rehovot
P.O.B 266
Israel
 (Address of principal executive offices)
 
Indicate by check mark whether the registrant files or will file annual reports under cover Form 20-F or Form 40-F. 

Form 20-F S   Form 40-F £
 
Indicate by check mark if the registrant is submitting the Form 6-K in paper as permitted by Regulation S-T Rule 101(b)(1): ____ 
 
Indicate by check mark if the registrant is submitting the Form 6-K in paper as permitted by Regulation S-T Rule 101(b)(7): ____ 
 
Indicate by check mark whether by furnishing the information contained in this Form, the registrant is also thereby furnishing the information to the Commission pursuant to Rule 12g3-2(b) under the Securities Exchange Act of 1934. 

Yes £   No S

Attached hereto and incorporated by way of reference herein are the slide presentations presented at Investors’ Conference in Tel Aviv on March 11, 2013.

SIGNATURES
 
Pursuant to the requirements of the Securities Exchange Act of 1934, the registrant has duly caused this report to be signed on its behalf by the undersigned, thereunto duly authorized. 
 
 
 
 
Date: March 11, 2013 
 
NOVA MEASURING INSTRUMENTS LTD.
(Registrant)
 
By: /s/ Dror David
——————————————
Dror David
Chief Financial Officer
 
 
 

 
Nova confidential & proprietary information
0
 
 

 
Investors Meeting

Tel-Aviv Stock
Exchange
Gabi Seligsohn, President & CEO
Dror David, CFO
March 11, 2013
 
 

 
Nova confidential & proprietary information
2
 Brief Company background
 FY 2012 summary
 Industry’s main drivers
 Developing new horizons
 Nova & the market - 2013 & beyond
 Summary
Meeting Outline
 
 

 
Nova confidential & proprietary information
3
Cautionary statement regarding forward-looking statements
This presentation includes statements that constitute forward-looking statements within the meaning of safe
harbor provisions of the Private Securities Litigation Reform Act of 1995 relating to future events or our future
financial performance, and involve known and unknown risks, uncertainties and other factors that may cause our
actual results, level of activity, performance or achievements to be materially different than expressed or implied
by these forward looking statements. You should not place undue reliance on forward-looking statements since
they involve known and unknown risks, uncertainties and other factors which are in some cases beyond our
control and which could materially affect actual results, level of activity, performance or achievements. These
risks and other factors include but are not limited to: our dependency on two product lines; the highly cyclical
nature of the markets we target; our inability to reduce spending during a slowdown in the semiconductor
industry; our ability to respond effectively on a timely basis to rapid technological changes; our dependency on
OEM suppliers; cyber security risks; risks related to open source technologies; our ability to retain our
competitive position despite the ongoing consolidation in our industry; risks associated with our dependence on
a single manufacturing facility; our ability to expand our manufacturing capacity or marketing efforts to support
our future growth; our dependency on a small number of large customers and small number of suppliers; our
dependency on our key employees; risks related to changes in our order backlog; risks related to the financial,
political and environmental instabilities in Asia; risks related to our intellectual property; changes in customer
demands for our products; new product offerings from our competitors; changes in or an inability to execute our
business strategy; unanticipated manufacturing or supply problems; changes in tax requirements; changes in
customer demand for our products; risks related to currency fluctuations and risks related to our operations in
Israel. 
The matters discussed in this presentation also involve risks and uncertainties summarized under the heading
“Risk Factors” in Nova’s most recent Annual Report on Form 20-F filed with the Securities and Exchange
Commission. These factors are updated from time to time through the filing of reports and registration statements
with the Securities and Exchange Commission.
Any forward-looking statements contained in this presentation are made as of the presentation date and Nova
Measuring Instruments Ltd. is under no obligation to revise or update these forward-looking statements.
Certain of the information contained herein concerning economic trends and performance is based upon or
derived from information provided by third party consultants and other industry sources. We have not
independently verified and cannot assure the accuracy of any data obtained by or from these sources.
 
 

 
Nova confidential & proprietary information
Business highlights
 Pure player in the semiconductors
 optical metrology market, enjoying
 strong business fundamentals:
  Nova is exposed to the highest growing
 semiconductor applications serving industry
 leading manufacturers
  Nova continuously outperforms the industry
  Nova is entering the emerging market of 3D
 interconnect
 Strong balance sheet with over $90M
 in cash reserves available to support
 the Company’s growth plans
4
 
 

 
Nova confidential & proprietary information
Company overview
5
 Founded in 1993 - IPO in 2000
 (NASDAQ - NVMI)
 Headquarters: Rehovot, Israel
 Global presence:
  Asia Pacific -
 Taiwan, Korea, Singapore, China
  United States, Japan and Europe
 Employees: 370
 Active installed base >1200
 systems
 Listed on NASDAQ and
 Tel-Aviv Stock Exchange
 
 

 
Nova confidential & proprietary information
Optical CD - leading and emerging technology
Semiconductor
Wafer
Cross-Section
View Using Electron
Microscope
Same View Using
Optical CD
Scatterometry
View
Integrated
Circuit Die
6
 
 

 
Nova confidential & proprietary information
7
Process control for current and future technologies
Nova’s products portfolio
SW
SA
NovaMARS  SW Packages Fleet Management
NEXT T500 T600
 
 
3D-IC
IM
TSV
NEXT i500
 
 
 
 

 
Nova confidential & proprietary information
8
Well positioned in most critical segments
9
Memory:
NAND: Design win
1Y (<10nm)
DRAM: < 30nm
Foundry:
Ramping 28 nm.
Design wins:
20,14,11 nm
Source: Barclays, Needham
2012/3 Semi Market - Capital Spending
Strong position with
leading market spenders
 
 

 
Nova confidential & proprietary information
10
9
Well positioned in the right sectors
Source: Gartner
Foundry Revenue
Source: Micron, Gartner
NAND Outlook
Capitalize on strong presence in
memory once spending resumes
Foundry revenues and spending
will continue to grow
 
 

 
Nova confidential & proprietary information
10
Expecting a further SAM increase of ~ $100M for 3D-IC starting in 2013/14*
Unification of the metrology market
$284M
-
-
$800M-$900M
Expansion of Nova’s
Addressable Market
Thin Film Metrology
Copper Metrology
CD Metrology
Source: Gartner, Yole Research & Company Estimates
* Expected metrology and inspection SAM for 3D Integration
Becoming a Unified Metrology
Market - All Addressable by Optical
 
 

 
Nova confidential & proprietary information
Optical CD - growing adoption rate
11
Source: Gartner
Disruptive Technology to meet the industry’s most difficult challenges
 
 

 
FY 2012
Summary and
Q1/13 Guidance
 
 

 
Nova confidential & proprietary information
2012 - a year with many achievements
13
 Proliferating previously announced products and launching new ones:
  T600, i500 and NovaMARS adopted by all key customers for advanced tech nodes down to 11nm
  Ground breaking announcement of V2600 for 3D interconnect yielded 4 customers in 6 months
  Shipping our first 450mm tool to key PEM for early development efforts 
 R&D efforts deliver high productivity solutions that support our business model:
  Significant increase in number of IM tools shipping with OCD SW
  Extensive deployment of NovaMARS modeling SW
  Achieving remarkable gross margin profile even while introducing new products
 Excellent financial performance during 2012 even as we increased R&D spending:
  Revenues of $96.2M ; non-GAAP net income of $14.2M; gross margin 53%; cash >$90M
  Foundry represented 75% of revenues
  Finishing Q4 at high end of revenue guidance as a result of operational efficiency
  Temporary reduction in Q4 gross margin to 50% - expect to be back on track in Q1/13
Strong bookings during Q4 provide good momentum for 2013
 
 

 
Nova confidential & proprietary information

Nova - Best start for the year
14
Nova Q1/13 Guidance - $25M-$27M
non GAAP EPS of $0.09-$0.13
 
 

 
Industry’s Main
Drivers
 
 

 
Nova confidential & proprietary information
16
Excellent exposure to growing markets
Source: Jeffries & Company, iSupply   Source: Gartner Q3/12
Tablet
Semiconductor
Content
% of Tablet
BOM
 
 NAND Flash Memory
7%
P
 Mobile DDR DRAM
7%
P
 App Processor
5%
P
 Baseband / RF
4%
P
 Wi-Fi / BT
2%
P
 Power Management
2%
P
 Accelerometer
1%
P
 Memory Controller
1%
P
 Touch Controller
1%
P
 GPS
<1%
P
 Touch Screen Driver
<1%
P
 Audio Codec
<1%
P
Expected Contribution to IC growth 2013
17
Share of Growth
28.2%
16.3%
14.5%
11.3%
7.5%
7.3%
5.5%
0.9%
8.6%
 
 

 
Nova confidential & proprietary information
17
70-100K WSPM* needed capacity increase for 28nm at foundries in 2013
Mobile Device Capacity needs for 2x Tech Nodes
* WSPM - Wafer Starts Per Month
 
 

 
Nova confidential & proprietary information
Source: Intel, IDC, Company records
Mobile revolution continues to gain traction
18
High performance low power - new chip designs are the enablers
3D Gates for foundries
3D Gates for Flash
OCD - the only way to measure and control
 
 

 
 
 

 
Nova confidential & proprietary information
20
 The US fiscal cliff is still ahead of us
 The ECB is taking some responsibility but can’t fix broken economies
 China’s GDP growth has tempered and India is slower too
 The world is all about “playing delay” and not paying debts
 PC sales have seen a significant decline
 Mega electronic food chain trends miraculously still seem robust:
  Smart phone sales continue to increase - price range of $60-$580
  Tablet sales are cannibalizing PC sales - “Surface” concept for corporate?
  Cloud investments on the rise - looking for cheap infrastructure
The global economy and the electronic food chain
The Environment Entering 2013
 
 

 
Nova confidential & proprietary information
21
 Very tough to meet yield requirements at <28nm
 Customers are having to work in parallel on ~6 generations
  This is something we never experienced
  The cost and risks are HUGE - a multibillion $ game
  The process complexities continue to rise
  The OEM equipment is barely meeting the specs
  It’s like playing a guessing game of when and where will your fab win
 Apple related business
 The opportunities are also HUGE - tsmc capital intensity 50%!
Our customers
The Environment Entering 2013
Customers demand extendable high end solutions from all vendors
 
 

 
Nova confidential & proprietary information
222
 Time interval between advanced
 technology nodes is decreasing
 Chip manufacturers are developing
 multiple tech-nodes in parallel
 All are fighting for a limited
 customer base - Intel joining the
 race (Altera announcement)
 Equipment vendors need to
 enhance R&D to keep up with
 customer requirements
Growing Pace of Technology Development
* Source: Publicly available information
Or: The Race to FinFet
 
 

 
Nova confidential & proprietary information
24
23
 Modeling complexity and measurement precision increases by tech node:
  More investment in future products is needed to properly address the market
 Metrology TAM grows as number of metrology steps per tech node increases
Huge Optical Metrology Opportunity
 
 

 
Nova confidential & proprietary information
24
Process control needs expand as geometries shrink
Nova’s TAM more than tripled 2009-2012
Wafer In
Wafer Out
2013 Opportunity (<28nm)
$150M - $200M Opportunity
Implant
Litho
Etch
CVD/EP
CMP
Notes: Company data. Based on Foundry with 100,000 wafer starts per month (updated 1/2013)
<65nm
$30-40 M
 
 

 
Nova confidential & proprietary information
26
25
Nova Continuously Exceeds Industry Performance
Nova significantly outperforms industry’s 5 year CAGR
(2011/2012: Nova -10% vs. Industry -17%)
Source: * Nova 2012 product revenues, Gartner WFE Q4/2012, Needham & Co.
Foundry
 
 

 
Nova confidential & proprietary information
Nova’s Solid Growth Strategy
26
Execution continues to drive success
Expanding
Product
Portfolio
Increased
Fab Footprint
Displacing
Traditional
Metrology
Integrated and
Standalone Metrology;
Software
4 Process Steps
2012E TAM =
$700M
2006 TAM = $100M
1-2 Process Steps
Integrated Metrology
 
 

 
Nova confidential & proprietary information
Product strategy drives high margins
Solid Financial performance
27
Enabling the needed investments in advanced technology
 
 

 
Nova and the
market
2013
and beyond
 
 

 
Nova confidential & proprietary information
29
 2013 outlook
  Expecting WFE to be 0% to down 5%
  Foundry/Logic - spending increase - ramping demand for leading edge
 mobility
à all major players continue to invest in capacity increase for
 28/20nm nodes and development of 16/14nm nodes
  Memory - no major growth (VNAND difficulties & PC market)
Wafer Fab Equipment 2013 Outlook
Expected spending by major players
 
 

 
Nova confidential & proprietary information
Market Expectations for 2013
30
 Foundry 28nm ramp up is still under way:
  Significant capacity insufficiency - expecting an addition of 70,000-100,000 wafer starts per month
  Smartphone market remains key driver for 28nm node with several trends driving growth:
  Windows 8 proliferation; Nokia Lumia; Blackberry 10
  2.5G smartphones in China for a mass market
  And, overall market share battles
 Foundry 20nm ramp to start towards end of H1/13 and deep into 2014:
  Extent of ramp up during H2/13 still not clear
  Spells big business for Nova
  OCD is an enabling technology
 Memory customers focused on achieving desired yield numbers:
  Next generation DRAM and NAND flash depend on significant gate design changes
  OCD is a key component
  Nova is actively engaged in these customer efforts
  Memory to remain weak at least throughout H1/13
Expecting foundry to remain robust 2013-2014
 
 

 
Nova confidential & proprietary information
Nova’s plans for 2013
31
 Strategic software development team:
  Developing multiple new products to extend differentiation and strategic
 position with customers and PEM partners
  Products include productivity enhancements, modeling capabilities and novel
 approaches to process control
  Strong support to our profitability model - expect initial revenues by EO/13
 3D interconnect:
  Continue to focus on expanding penetration
  Leverage footing at 4 accounts into several others
  Ultimately expecting a $100M SAM increase once industry moves to HVM
 450mm - continue early collaborations to secure long term position
Continue to expand product offering for larger opportunity
 
 

 
Nova confidential & proprietary information
32
 The cost associated with retaining a competitive position is rising
 New technology horizons are critical - delivery in the present
 Customers depend on innovation - not just to satisfy their curiosity
What does it mean to Nova?
Developing New Horizons in Stormy Waters
Shooting for a step function in the role we play for our strategic customers
 
 

 
Nova confidential & proprietary information
New Horizons - what does it take
The elephants’ trail is crowded
 Working assumption: mature industry
  Implication: scarce opportunities for secular growth
 Look for disruptive technologies - attain a diverse tech portfolio
 Look for enabling technologies
 High value/high technology = high ASP/high margins
 These rules apply for organic and inorganic growth
 Only one elephant fits through the front door
WE NEED SOMETHING DIFFERENT
 
 

 
Nova confidential & proprietary information
34
The need: Low power high performance
3D technology driving Nova application growth
3D
Devices
Planar Transistor
3D Transistor
Requires significant increase in number of Etch and CMP layers
Multi-Chip Packaging with TSV
3D IC
Integration
Single Chip
2010
2012 onwards
Nova introducing novel TSV metrology solution in time for transition to production
 
 

 
Nova confidential & proprietary information
35
 This market segment represents $30M-$80M new business potential for Nova
 in the coming years -
with significant software content!
New Market being developed by Nova
* Source: Gartner and company data
 
 

 
Nova confidential & proprietary information
Strategy for taking Nova to the next level
Nova will continue its strategy of investing in creating future growth opportunities
Short
Term
Continue to enhance infrastructure and customer technology
Strong end market position and excellent technological collaboration with leading PEM’s
 28nm ramp up at
 foundries and 20nm at
 NAND production sites
 Transition to 3D gates
 Optical CD the
ONLY
 
way to measure and
 control
Mid
Term
Long
Term
 Critical etch steps
 requiring closer
 monitoring leading to
 enhanced transition to
 advanced IPC for etch
 Gradual move to 3D
 interconnect by all
 leading customers, and
 ramp up during 2014
 20nm ramp up in
 foundries
 Transition to 450mm by 6
 leading customers
 expected to start 2015/16
 onwards
 IM use expected to
 significantly extend given
 wafer cost and process
 complexity
36
 
 

 
Nova confidential & proprietary information
38
Revenues
FY2011
$103M
2012
$96M
Target Model
 
 
 
 
Total Revenues
100%
100%
 
Products Revenues
83%
80%
 
Services Revenues
17%
20%
15% - 20%
 
 
 
 
Total Gross Margins
56%
53%
55%
Products Gross Margins
61%
59%
58%+
Services Gross Margins
36%
30%
30%+
 
 
 
 
Total Operating Expenses
32%
42%
30% - 35%
R&D, net
18%
26%
16% - 19%
SG&A
14%
16%
14% - 16%
 
 
 
 
Operating Margin
24%
11%
20% - 25%
Financial model
Long - term effective tax rate ~15%
37
 
 

 
Nova confidential & proprietary information
38
 The foundry “Arms Race” is in full swing and the stakes are high
  The move to FinFet (3D gates) is focused on 14nm
 Demand for high end low power devices is solid
 The 28nm ramp up continues in 2013 and 20nm will run well into 2014
  We expect two strong years for foundry
 Memory spending will resume once technology challenges are
 overcome and market conditions improve
 Nova is very well positioned to capture these opportunities
  We will continue to develop our capabilities to best serve our customers
Summary
 
 

 
Nova confidential & proprietary information
39
Providing an excellent platform for further growth
Nova’s ability to continue growth is well founded
Litho
Etch
CVD/EP
CMP
Further
Growth
Accelerating Op CD Adoption
Outpacing industry growth rate
Expanding Fab footprint
Industry
Mid cycle behavior
Well positioned where money will
be spent
New strategic Initiatives
3D-IC market; 450mm
New Products and features
NG IPC
Expanded Customer Base
Turning penetrations into multi-
tool accounts