zk1312497.htm


UNITED STATES
SECURITIES AND EXCHANGE COMMISSION
Washington, DC 20549

FORM 6-K

REPORT OF FOREIGN PRIVATE ISSUER
PURSUANT TO RULE 13a-16 OR 15d-16 OF 
THE SECURITIES EXCHANGE ACT OF 1934
 
January 15, 2013
 
Commission File No.: 000-30688
 
NOVA MEASURING INSTRUMENTS LTD.
(Translation of registrant’s name into English)

Building 22 Weizmann Science Park, Rehovot
P.O.B 266
Israel
 (Address of principal executive offices)
 
Indicate by check mark whether the registrant files or will file annual reports under cover Form 20-F or Form 40-F. 

Form 20-F S Form 40-F £
 
Indicate by check mark if the registrant is submitting the Form 6-K in paper as permitted by Regulation S-T Rule 101(b)(1): ____ 
 
Indicate by check mark if the registrant is submitting the Form 6-K in paper as permitted by Regulation S-T Rule 101(b)(7): ____ 
 
Indicate by check mark whether by furnishing the information contained in this Form, the registrant is also thereby furnishing the information to the Commission pursuant to Rule 12g3-2(b) under the Securities Exchange Act of 1934. 

Yes £ No S

Attached hereto and incorporated by way of reference herein are the slide presentations to be presented at the 15th Annual Needham Growth Conference in New York on January 15, 2013.
 
SIGNATURES
 
Pursuant to the requirements of the Securities Exchange Act of 1934, the registrant has duly caused this report to be signed on its behalf by the undersigned, thereunto duly authorized. 
 
 
 
 
Date: January 15, 2013 
 
NOVA MEASURING INSTRUMENTS LTD.
(Registrant)
 
By: /s/ Dror David
——————————————
Dror David
Chief Financial Officer

 
 

 

Investors Presentation
Gabi Seligsohn, President & CEO
Dror David, CFO
January 2013
 
 

 
Nova confidential & proprietary information
1
Cautionary statement regarding forward-looking statements
This presentation includes statements that constitute forward-looking statements within the meaning of safe
harbor provisions of the Private Securities Litigation Reform Act of 1995 relating to future events or our future
financial performance, and involve known and unknown risks, uncertainties and other factors that may cause our
actual results, level of activity, performance or achievements to be materially different than expressed or implied
by these forward looking statements. You should not place undue reliance on forward-looking statements since
they involve known and unknown risks, uncertainties and other factors which are in some cases beyond our
control and which could materially affect actual results, level of activity, performance or achievements. These
risks and other factors include but are not limited to: our dependency on two product lines; the highly cyclical
nature of the markets we target; our inability to reduce spending during a slowdown in the semiconductor
industry; our ability to respond effectively on a timely basis to rapid technological changes; our dependency on
OEM suppliers; cyber security risks; risks related to open source technologies; our ability to retain our
competitive position despite the ongoing consolidation in our industry; risks associated with our dependence on
a single manufacturing facility; our ability to expand our manufacturing capacity or marketing efforts to support
our future growth; our dependency on a small number of large customers and small number of suppliers; our
dependency on our key employees; risks related to changes in our order backlog; risks related to the financial,
political and environmental instabilities in Asia; risks related to our intellectual property; changes in customer
demands for our products; new product offerings from our competitors; changes in or an inability to execute our
business strategy; unanticipated manufacturing or supply problems; changes in tax requirements; changes in
customer demand for our products; risks related to currency fluctuations and risks related to our operations in
Israel. 
The matters discussed in this presentation also involve risks and uncertainties summarized under the heading
“Risk Factors” in Nova’s most recent Annual Report on Form 20-F filed with the Securities and Exchange
Commission. These factors are updated from time to time through the filing of reports and registration statements
with the Securities and Exchange Commission.
Any forward-looking statements contained in this presentation are made as of the presentation date and Nova
Measuring Instruments Ltd. is under no obligation to revise or update these forward-looking statements.
Certain of the information contained herein concerning economic trends and performance is based upon or
derived from information provided by third party consultants and other industry sources. We have not
independently verified and cannot assure the accuracy of any data obtained by or from these sources.
 
 

 
Nova confidential & proprietary information
Business highlights
 Pure player in the semiconductors
 optical metrology market, enjoying
 strong business fundamentals:
  Nova is exposed to the highest growing
 semiconductor applications serving industry
 leading manufacturers
  Nova continuously outperforms the industry
  Nova is entering the emerging market of 3D
 interconnect
 Strong balance sheet with over $85M
 in cash reserves available to support
 the Company’s growth plans
2
 
 

 
Nova confidential & proprietary information
Company overview
3
 Founded in 1993 - IPO in 2000
 (NASDAQ - NVMI)
 Headquarters: Rehovot, Israel
 Global presence:
  Asia Pacific -
 Taiwan, Korea, Singapore, China
  United States, Japan and Europe
 Employees: 370
 Active installed base >1200
 systems
 Listed on NASDAQ and
 Tel-Aviv Stock Exchange
 
 

 
Nova confidential & proprietary information
Optical CD - leading and emerging technology
Semiconductor
Wafer
Cross-Section
View Using Electron
Microscope
Same View Using
Optical CD
Scatterometry
View
Integrated
Circuit Die
4
 
 

 
Nova confidential & proprietary information
5
Process control for current and future technologies
Nova’s products portfolio
SW
SA
NovaMARS  SW Packages Fleet Management
NEXT T500 T600
 
 
3D-IC
IM
TSV
NEXT i500
 
 
 
 

 
Nova confidential & proprietary information
6
Well positioned in most critical segments
7
Memory:
NAND: Design win
1Y (<10nm)
DRAM: < 30nm
Foundry:
Ramping 28 nm.
Design wins:
20,14,11 nm
Source: Barclays, Needham
2012/3 Semi Market - Capital Spending
Strong position with
leading market spenders
 
 

 
Nova confidential & proprietary information
8
7
Well positioned in the right sectors
Source: Gartner
Foundry Revenue
Source: Micron, Gartner
NAND Outlook
Capitalize on strong presence in
memory once spending resumes
Foundry revenues and spending
will continue to grow
 
 

 
Nova confidential & proprietary information
8
Providing an excellent platform for further growth
Nova’s winning strategy
Nova
Optical CD
Differentiation
Cluster
Best
Reliability
Highest
Throughput
Shortest Time
to Solution
Best Solution
Quality
Best Tool-to-
Tool Matching
Excellent Global
Services
* Process Equipment Manufacturers
 
 

 
Nova confidential & proprietary information
9
Expecting a further SAM increase of ~ $100M for 3D-IC starting in 2013/14*
Unification of the metrology market
$284M
-
-
$800M-$900M
Expansion of Nova’s
Addressable Market
Thin Film Metrology
Copper Metrology
CD Metrology
Source: Gartner, Yole Research & Company Estimates
* Expected metrology and inspection SAM for 3D Integration
Becoming a Unified Metrology
Market - All Addressable by Optical
 
 

 
Nova confidential & proprietary information
Optical CD - growing adoption rate
10
Source: Gartner
Disruptive Technology to meet the industry’s most difficult challenges
 
 

 
Nova confidential & proprietary information
11
Process control needs expand as geometries shrink
Nova’s TAM more than tripled 2009-2012
Wafer In
Wafer Out
2013 Opportunity (<28nm)
$150M - $200M Opportunity
Implant
Litho
Etch
CVD/EP
CMP
Notes: Company data. Based on Foundry with 100,000 wafer starts per month (updated 1/2013)
<65nm
$30-40 M
 
 

 
Nova confidential & proprietary information
13
12
Nova Continuously Exceeds Industry Performance
Nova significantly outperforms industry’s 5 year CAGR
(2011/2012: Nova -8% vs. Industry -17%)
Source: * Nova Q4/2012 mid point guidance, Gartner Q4/2012, Needham & Co.
Foundry
 
 

 
Nova confidential & proprietary information
13
 Successful launch of Integrated and stand alone metrology:
  NovaT600 - selected for 11nm/14nm/20nm at multiple foundries
  Nova i500 - selected for 11nm/14nm/20nm/30nm at multiple memory and
 foundries
  First shipment of 450mm tool to major PEM partner for early development
 New product introduction for the 3D Interconnect market:
  Multiple orders and installations at multiple customers
  Several interactions with additional customers
  Well positioned to take advantage once industry adopts in HVM
Recent achievements
Will continue to enhance our development and support capabilities for an expanding SAM
 
 

 
Industry Review:
2012 and Beyond
 
 

 
Nova confidential & proprietary information
15
Excellent exposure to growing markets
Source: Jeffries & Company, iSuppli    Source: Gartner Q3/12
Tablet
Semiconductor
Content
% of Tablet
BOM
 
 NAND Flash Memory
7%
P
 Mobile DDR DRAM
7%
P
 App Processor
5%
P
 Baseband / RF
4%
P
 Wi-Fi / BT
2%
P
 Power Management
2%
P
 Accelerometer
1%
P
 Memory Controller
1%
P
 Touch Controller
1%
P
 GPS
<1%
P
 Touch Screen Driver
<1%
P
 Audio Codec
<1%
P
Expected Contribution to IC growth 2013
16
Share of Growth
28.2%
16.3%
14.5%
11.3%
7.5%
7.3%
5.5%
0.9%
8.6%
 
 

 
Nova confidential & proprietary information
16
70-100K WSPM* needed capacity increase for 28nm at foundries in 2013
Mobile Device Capacity needs for 2x Tech Nodes
* WSPM - Wafer Starts Per Month
 
 

 
Nova confidential & proprietary information
Source: Intel, IDC, Company records
Mobile revolution continues to gain traction
17
High performance low power - new chip designs are the enablers
3D Gates for foundries
3D Gates for Flash
OCD - the only way to measure and control
 
 

 
Nova 2012
and beyond
 
 

 
Nova confidential & proprietary information
19
The need: Low power high performance
3D technology driving Nova application growth
3D
Devices
Planar Transistor
3D Transistor
Requires significant increase in number of Etch and CMP layers
Multi-Chip Packaging with TSV
3D IC
Integration
Single Chip
2010
2012 onwards
Nova introducing novel TSV metrology solution in time for transition to production
 
 

 
Nova confidential & proprietary information
Strategy for taking Nova to the next level
Nova will continue its strategy of investing in creating future growth opportunities
Short
Term
Continue to enhance infrastructure and customer technology
Strong end market position and excellent technological collaboration with leading PEM’s
 28nm ramp up at
 foundries and 20nm at
 NAND production sites
 Transition to 3D gates
 Optical CD the
ONLY
 
way to measure and
 control
Mid
Term
Long
Term
 Critical etch steps
 requiring closer
 monitoring leading to
 enhanced transition to IM
 for etch
 Gradual move to 3D
 interconnect by all
 leading customers, and
 ramp up during 2014
 20nm ramp up in
 foundries
 Transition to 450mm by 6
 leading customers
 expected to start 2015/16
 onwards
 IM use expected to
 significantly extend given
 wafer cost and process
 complexity
20
 
 

 
Nova confidential & proprietary information
Product strategy drives high margins
Financial performance
21
Continuously adding feature and functionality for customer benefit
 
 

 
Nova confidential & proprietary information
23
Revenues
FY2011
$103M
2012F*
$94M
Target Model
 
 
 
 
Total Revenues
100%
100%
 
Products Revenues
83%
80%
 
Services Revenues
17%
20%
15% - 20%
 
 
 
 
Total Gross Margins
56%
54%
55%
Products Gross Margins
61%
60%
58%+
Services Gross Margins
36%
30%
30%+
 
 
 
 
Total Operating Expenses
32%
42%
30% - 35%
R&D, net
18%
26%
16% - 19%
SG&A
14%
16%
14% - 16%
 
 
 
 
Operating Margin
24%
12%
20% - 25%
Financial model
Long - term effective tax rate ~ 15%
22
* 2012 Forecast - based on mid range of Q4/12 guidance
 
 

 
Nova confidential & proprietary information
23
Providing an excellent platform for further growth
Ability to continue growth is well founded
Litho
Etch
CVD/EP
CMP
Further
Growth
Accelerating Op CD Adoption
Outpacing industry growth rate
Expanding Fab footprint
Industry
Mid cycle behavior
Well positioned where money will
be spent
New strategic Initiatives
3D-IC market; 450mm
New Products and features
Expanded Customer Base
Turning penetrations into multi-
tool accounts