zk1210878.htm


UNITED STATES
SECURITIES AND EXCHANGE COMMISSION
Washington, DC 20549

FORM 6-K

REPORT OF FOREIGN PRIVATE ISSUER
PURSUANT TO RULE 13a-16 OR 15d-16 OF 
THE SECURITIES EXCHANGE ACT OF 1934
 
January 9, 2012
 
Commission File No.: 000-30688
 
NOVA MEASURING INSTRUMENTS LTD.
(Translation of registrant’s name into English)

Building 22 Weizmann Science Park, Rehovot
P.O.B 266
Israel
 (Address of principal executive offices)
 
Indicate by check mark whether the registrant files or will file annual reports under cover Form 20-F or Form 40-F. 

Form 20-F S    Form 40-F £
 
Indicate by check mark if the registrant is submitting the Form 6-K in paper as permitted by Regulation S-T Rule 101(b)(1): ____ 
 
Indicate by check mark if the registrant is submitting the Form 6-K in paper as permitted by Regulation S-T Rule 101(b)(7): ____ 
 
Indicate by check mark whether by furnishing the information contained in this Form, the registrant is also thereby furnishing the information to the Commission pursuant to Rule 12g3-2(b) under the Securities Exchange Act of 1934. 

Yes £    No S

Attached hereto and incorporated by way of reference herein are the slide presentations to be presented at the 14th Annual Needham Growth Conference in New York on January 10, 2012.
 
SIGNATURES
 
Pursuant to the requirements of the Securities Exchange Act of 1934, the registrant has duly caused this report to be signed on its behalf by the undersigned, thereunto duly authorized. 
 
 
 
 
Date: January 9, 2012 
 
NOVA MEASURING INSTRUMENTS LTD.
(Registrant)
 
By: /s/ Dror David
——————————————
Dror David
Chief Financial Officer

 
 

 

 
Investors
Presentation
Gabi Seligsohn, President & CEO
Dror David, CFO
January 2012
 
 

 
Nova confidential & proprietary information
Cautionary Statement Regarding Forward-Looking Statements
1
This presentation includes statements that constitute forward-looking statements within the meaning of safe harbor
provisions of the Private Securities Litigation Reform Act of 1995 relating to future events or our future financial
performance, and involve known and unknown risks, uncertainties and other factors that may cause our actual results, level
of activity, performance or achievements to be materially different than expressed or implied by these forward looking
statements. You should not place undue reliance on forward-looking statements since they involve known and unknown
risks, uncertainties and other factors which are in some cases beyond our control and which could materially affect actual
results, level of activity, performance or achievements. These risks and other factors include but are not limited to: our
dependency on two product lines; the highly cyclical nature of the markets we target; our inability to reduce spending
during a slowdown in the semiconductor industry; our ability to respond effectively on a timely basis to rapid technological
changes; our dependency on OEM suppliers; our ability to retain our competitive position despite the ongoing
consolidation in our industry; risks associated with our dependence on a single manufacturing facility; our ability to expand
our manufacturing capacity or marketing efforts to support our future growth; our dependency on a small number of large
customers and small number of suppliers; our dependency on our key employees; risks related to changes in our order
backlog; risks related to the financial, political and environmental instabilities in Asia; risks related to our intellectual
property; changes in customer demands for our products; new product offerings from our competitors; changes in or an
inability to execute our business strategy; unanticipated manufacturing or supply problems; changes in tax requirements;
changes in customer demand for our products; risks related to currency fluctuations and risks related to our operations in
Israel. 
The matters discussed in this presentation also involve risks and uncertainties summarized under the heading “Risk Factors”
in Nova’s most recent Annual Report on Form 20-F filed with the Securities and Exchange Commission. These factors are
updated from time to time through the filing of reports and registration statements with the Securities and Exchange
Commission.
Any forward-looking statements contained in this presentation are made as of the presentation date and Nova Measuring
Instruments Ltd. is under no obligation to revise or update these forward-looking statements.
Certain of the information contained herein concerning economic trends and performance is based upon or derived from
information provided by third party consultants and other industry sources. We have not independently verified and cannot
assure the accuracy of any data obtained by or from these sources.
 
 

 
Nova confidential & proprietary information
Business Highlights
2
 Pure player in the semiconductors optical metrology market,
 enjoying strong business fundamentals:
  Nova is exposed to the highest growing semiconductor applications
  Optical Metrology continuously outperforms the industry
  Nova is entering the emerging market of 3D interconnect
 Strong balance sheet with over $80M in cash reserves available
 to support Company’s growth plans
 Strong profit growth and cash generation enables reinvestment
 to prepare for next phase of growth
 
 

 
Nova confidential & proprietary information
Company Overview
3
 Founded in 1993 - IPO in 2000 (NASDAQ - NVMI)
 Headquarters: Rehovot, Israel
 Global presence:
  Asia Pacific - Taiwan, Korea, Singapore, China
  United States, Japan and Europe
 Employees: 350
 Active installed base >1000 systems
 Listed on NASDAQ and Tel-Aviv Stock Exchange
 
 

 
Nova confidential & proprietary information
Optical CD - Leading and emerging technology
4
Semiconductor
Wafer
Cross-Section
View Using Electron
Microscope
Same View Using Optical
CD
Scatterometry
View
Integrated
Circuit Die
 
 

 
Nova confidential & proprietary information
Nova’s Products Portfolio
5
Starting 2012
3D-IC
NEXT i500
IM
SA
NEXT T500 T600
SW
NOVAMars SW Packages
Multiple
products across
technologies
Process control for current and future technologies
 
 

 
Nova confidential & proprietary information
Well positioned in most critical segments
6
7
Memory:
NAND +5%
DRAM +3%
Foundry:
-8%
Est. 12/11
WFE Growth:
Source: Average Barclays Cap, SEMI, Needham
 
 

 
Nova confidential & proprietary information
Strong SA position at multiple leading accounts
7
Providing an excellent platform for further growth
2005
2007
At Year End
# Standalone
Customers
2
5
7
2011
14
2009
Nova Optical CD
Differentiation
Cluster
Best
Reliability
Best
Solution
Quality
Highest
Throughput
Best
Tool-to-Tool
Matching
Shortest
Time to
Solution
 
 

 
Nova confidential & proprietary information
Nova’s Addressable Market Expansion Within the Fab
8
Process control needs expand as geometries shrink
Wafer In
Wafer Out
2011 Opportunity (<45nm)
$80-$100M Million Opportunity
Implant
Litho
Etch
CVD/EP
CMP
2009 Opportunity (<65nm)
$30-$40M Million Opportunity
Implant
Litho
Etch
CVD/EP
CMP
Notes:
Company data. Based on Foundry with 100,000 wafer starts per month (updated 9/2011)
 
 

 
Nova confidential & proprietary information
Unification of the Metrology Market
9
Expecting a further SAM increase of ~$250M for 3D/IC starting 2013*
$284M
-
-
Expansion of Nova’s
Addressable Market
Thin Film Metrology
Copper Metrology
CD Metrology
Source: Gartner, Yole Research and Company Estimates
*Numbers reference expected metrology and inspection SAM for 3D/IC
Becoming a Unified Metrology Market -
All Addressable by Optical
 
 

 
Nova confidential & proprietary information
Optical CD - Growing Adoption Rate
10
Source: Gartner
 
 

 
Nova confidential & proprietary information
Main achievements during 2011
11
 Successful launch of Next Gen for Integrated and stand alone metrology:
 NovaT600 - selected for 11nm/14nm/20nm at multiple foundries
 Nova i500 - selected for 11nm/14nm/20nm/30nm at multiple memory and
     foundries
 New product introduction:
  3D/IC product going through successful beta at leading foundry
   Several new customer interactions should lead to initial revenues H2/12
 Financial results well within our long term profitability model
  >70% of revenues coming from <30nm design rules
  Significant free cash flow enables investments for further growth
Business, Technology, Market share
Will continue to enhance our development and support capabilities for an expanding SAM
 
 

 
Nova confidential & proprietary information
Investments focused on growth
12
Track record of aligning R&D spending with opportunities ahead
* 2011 Estimated Revs. based on Q4 11 Guidance: Revenues of $16M-$19M and net profitability of 1%-8%.
 
 

 
Industry
Review - 2011
and Beyond
13
 
 

 
Nova confidential & proprietary information
Excellent Exposure to growing markets
14
Tablet Semiconductor
Content
% of Tablet
BOM
 
 NAND Flash Memory
7%
P
 Mobile DDR DRAM
7%
P
 App Processor
5%
P
 Baseband / RF
4%
P
 Wi-Fi / BT
2%
P
 Power Management
2%
P
 Accelerometer
1%
P
 Memory Controller
1%
P
 Touch Controller
1%
P
 GPS
<1%
P
 Touch Screen Driver
<1%
P
 Audio Codec
<1%
P
Expected Contribution to IC growth 2012
Source: Gartner Q4/11
 
 

 
Nova confidential & proprietary information
Mobile revolution
15
Continuation depends on new designs
Source: Intel, Sandisk, KPCB
 
 

 
Nova confidential & proprietary information
Mixed expectations for WFE in 2012
16
WFE 2012 forecast - different baseline and different expectations
Source: Barclays Capital, 1/12
Source: Gartner Dec 11
Source: Needham
Average expectation: -13%
 
 

 
Nova 2012 and
beyond
 
 

 
Nova confidential & proprietary information
Strategy for taking Nova to the next level
 Nova’s strong end market position coupled with excellent technological collaboration
 with leading OEM’s, offer significant opportunities for further growth:
  Short term:
  28nm ramp up at foundries and 20nm at NAND production sites - adding Optical CD control steps
  Transition to 3D gates (foundry) and vertical gates (memory) - ONLY Optical CD can measure
  Mid term:
  Critical etch steps requiring closer monitoring leading to enhanced transition to IM for etch
  The move to 3D interconnect by all leading customers - expected to ramp up during 2013
  Long term:
  Transition to 450mm by 6 leading customers expected to take place 2015 onwards (pilot lines EO 2013)
  IM use expected to significantly extend given wafer cost and process complexity
 Focus in 2012 will be on maturing existing platforms, enhancing infrastructure and
 customer technology partnerships via field support and CTO group
Continue to focus on the leading edge
Nova will continue its strategy of investing in creating future growth opportunities
 
 

 
Nova confidential & proprietary information
3D Technology Driving Nova Application Growth
19
The need: Low power high performance
3D Devices
3D IC
Integration
Single Chip
Multi-Chip Packaging with TSV
2010
2012 onwards
Planar Transistor
3D Transistor
Nova introducing novel TSV metrology solution in time for transition to production
Requires significant increase in number of Etch and CMP layers
 
 

 
Nova confidential & proprietary information
Leveraging Nova’s Position to expand addressable market
20
New Process Steps
3D Interconnect
Performance & bandwidth
Form Factor & Power Consumption
SOC Horizontal Shrink
“More Moore”
3D Vertical Integration
“More Than Moore”
3D Gates enable: Run almost 50% faster with lower voltage and consume 50% less energy
3D IC enables: 15% footprint reduction, 30% Thickness reduction, 15%-30% less Power
Existing Process Steps
Increased complexity
Significantly more
manufacturing steps
Implant
Litho
Etch
CVD/EP
CMP
 
 

 
Nova confidential & proprietary information
3D IC main process steps
21
 The transition to 3D IC depends on several factors:
  Making the process production worthy
  Low Cost of manufacturing - no more than $100 cost added per wafer
  Standards to enable alignment of chips coming for different manufacturers
 As a result:
  3D IC production ramp is expected in 2013
  Metrology and Inspection Market expected to be ~$250M starting 2013
Adding several process steps requiring close monitoring
Rely on existing customers to expand addressable market - all actively moving in this direction
Filling
Etching
Bond ,Thin &
Reveal
Detach + Align
Detach + Align
 
 

 
Nova confidential & proprietary information
Financial Model
22
Long-term tax rate - ~15%.
* 2011E - based on Q4/11 guidance
 
 

 
Nova confidential & proprietary information
Ability to continue growth is well founded
23
Mid cycle behavior
Well positioned where
money will be spent
Turning penetrations into
multi-tool accounts
Outpacing industry growth rate
Expanding Fab footprint
Litho
Etch
CVD/EP
CMP
Focus on 3D-IC market
450mm
New products and features
Expanded Customer Base
Industry
Accelerating Op CD Adoption
New strategic Initiatives
Further
Growth