6-K

FORM 6-K
SECURITIES AND EXCHANGE COMMISSION

Washington, D.C. 20549
Report of Foreign Private Issuer

Pursuant to Rule 13a-16 or 15d-16
of the Securities Exchange Act of
1934

April 12, 2005

NOVA MEASURING INSTRUMENTS LTD.

Building 22 Weitzmann Science Park, Rehovoth
P.O.B 266

[Indicate by check mark whether the registrant files or will file
annual reports under cover Form 20-F or Form 40-F.

Form 20-F x Form 40-F o

[Indicate by check mark whether the registrant by furnishing the
information contained in this Form is also thereby furnishing the
information to the Commission pursuant to Rule 12g3-2(b) under the
Securities Exchange Act of 1934.

Yes o No x

If “Yes” is marked, indicate below the file number assigned to the
registrant in connection with Rule 12g3-2(b): N/A.

Attached hereto and incorporated by way of reference herein the Registrants notice regarding its Financial Outlook for the First Quarter of 2005.



Signatures

Pursuant to the requirements of the Securities Exchange Act of 1934, the registrant has duly caused this report to be signed on its behalf by the undersigned, thereunto duly authorized.

April 12, 2005 Nova Measuring Instruments Ltd
Nova Measuring Instruments Ltd (the "Registrant")

BY: /S/ Chai Toren
——————————————
Chai Toren
Chief Financial Officer



Company Contact: Investor relations Contacts:
Chai Toren, CFO and Vice President Ehud Helft / Kenny Green
Nova Measuring Instruments Ltd. Gelbart Kahana
Tel: 972-8-938-7505 Tel: +1-866-704-6710
E-mail: info@nova.co.il E-mail : Ehud@gk-biz.com
http://www.nova.co.il               Kenny@gk-biz.com

Nova Measuring Instruments Provides Update on Financial Outlook for the First Quarter of 2005

Rehovot, Israel – April 12, 2005 – Nova Measuring Instruments Ltd. (NASDAQ: NVMI), the market leader in Integrated Metrology systems, today announced that revenues for the first quarter of 2005 would be around $5M, below the guidance that was provided previously. The company also announced that it has taken cost cutting measures and a headcount reduction in order to adjust to the current market environment and increase efficiency after finishing a major development milestone of a new product.

The sharp decrease in revenue over previous quarters is as a result of a number of factors. These include the general slowdown in the industry, including several significant push-outs to later quarters, and also the delayed introduction of the NovaScan 3090. In the next quarter, the company expects to resume growth.

The cost saving measures include more than a 10% work-force reduction, and a further reduction in subcontracting, as well as tighter control of travel and communication expenses. The efforts to adjust costs to current operation levels and current market conditions are on-going. The company believes that these cost cutting measures will reduce the quarterly breakeven level of the company significantly.

Following the completion of the major development milestone of the NovaScan 3090 products, the company is focused on engineering, in order to transfer into production and to the sales. The proliferation of the new NovaScan 3090 in the Copper CMP and Optical CD markets continues aggressively. The Company also announced that it continues to be fully dedicated to its investment and is maintaining its development in next generation metrology systems.

Dr. Giora Dishon, President and CEO of Nova said: “The current market conditions continue to impact our business. With these cost reduction measures, with the new products, the Copper CMP and Optical CD systems, in the market and with our strong balance sheet, we feel that the Company can safely maneuver through this downturn. Dataquest, in its April 05 report, indicated that as the industry moves to 65nm manufacturing, more processes require intensive control. This is specifically true with respect to the thin film metrology market, which is projected to grow at around 13% in 2005. With our offering of new products with enhanced measurement capabilities, we expect a very large market opportunity when market conditions change.”



Dr. Dishon concluded, “We are working with all the major process equipment manufacturers to integrate our new systems with their process equipment, and we are working with our strategic customers on the complementary Integrated and Stand-Alone metrology solutions. At the same time, we will continue to monitor our expense levels very carefully, executing our strategy and resuming growth in the second quarter.”

Final results for the first quarter of 2005 will be released on May 9 2005, and will be discussed during the company’s scheduled conference call later that day.

About Nova
Nova Measuring Instruments Ltd. (NASDAQ: NVMI) develops, designs and produces integrated process control systems for the semiconductor manufacturing industry. Nova provides a broad range of integrated process control solutions that link different semiconductor processes and process equipment. The Company’s website is www.nova.co.il.